Maailmanlaajuinen mikrosirukilpailu: Euroopan pyrkimys saada PlatoBlockchain Data Intelligence kiinni. Pystysuuntainen haku. Ai.

Maailmanlaajuinen mikrosirukilpailu: Euroopan tavoite saada kiinni

Rauhallisen metsän reunalla tunnin ajomatkan päässä Stuttgartista, jossa vaellusreitit kiemurtelevat puiden ja loivasti kumpuilevien kukkuloiden halki, istuu yksi Euroopan salaisista aseista maailmanlaajuisessa kilpailussa maailman edistyneimpien puolijohteiden kehittämiseksi.

Oberkochen, pieni, vain 8,000 200 asukkaan kaupunki Baden-Württembergin osavaltion lounaisosassa, on Carl Zeiss SMT:n pääkonttori, joka on ainoa maailman edistyneimmissä sirujenvalmistuslaitteissa käytettyjen peilien ja linssien valmistaja. Sen erittäin tarkat peilit ja linssit ovat niin tarkkoja, että ne pystyvät XNUMX kertaa suurempaan tarkkuuteen kuin James Webb -avaruusteleskooppi.

Zeissillä on "ainutlaatuinen osaaminen", sanoo Peter Wennink, toimitusjohtaja ASML:stä, alankomaalaisesta yrityksestä, jolla on maailmanlaajuinen monopoli huippuluokan lastujen valmistukseen tarvittavien äärimmäisten ultraviolettilitografiakoneiden (EUV) valmistuksessa. tärkeimmät asiakkaat.

Ilman Zeiss-optiikkaa hän sanoo, että ASML ei pystyisi valmistamaan EUV-koneitaan, jotka käyttävät ultraviolettivaloa sirujen skannaamiseen piikiekoihin pienessä mittakaavassa. Ja ilman ASML-koneita olisi mahdotonta valmistaa edistyneimpiä siruja, joita tarvitaan tulevaisuuden teknologioihin, kuten tekoälyyn, autonomiseen ajamiseen ja kvanttilaskentaan.

Kehittyneet sirujenvalmistuslaitteet ovat yksi Euroopan piilotetuista vahvuuksista, kun maat ympäri maailmaa yrittävät kaapata osuutta teollisuudesta, joka on modernin talouden keskiössä ja johon liittyy yhä enemmän geopoliittista riskiä. 

McKinseyn mukaan puolijohdemarkkinat ylittivät 500 miljardia dollaria ensimmäistä kertaa vuonna 2021, ja niistä arvioidaan muodostuvan biljoonan dollarin teollisuus vuoteen 2030 mennessä.

Taiwan on maailman edistyksellisimmän sirunvalmistuksen keskus. Alle 10 nanometrin puolijohteiden – teknologian huippuversioiden – osalta Taiwanilla on yli 90 prosentin markkinaosuus maailmanlaajuisista markkinoista.

Kasvavat pelot Kiinan jonkinlaisesta sotilaallisesta interventiosta Taiwaniin ovat kuitenkin saaneet Yhdysvaltojen, Japanin ja monien eri maiden hallitukset kiirehtimään hakemaan sirutuotannon laajentamista maissaan, mikä on herättänyt huolta siitä, että liian paljon kapasiteettia otetaan käyttöön samanaikaisesti. aika.

Puolijohteet ovat monille maille kansallisen turvallisuuden kysymys, koska suuret talouden osat luottavat yhä enemmän niiden tarjoamiin toimintoihin. Pandemian aikaiset vakavat pulat ovat iskeneet tuotantoon useilla maailmanlaajuisilla teollisuudenaloilla älypuhelimista ja henkilökohtaisista tietokoneista palvelimiin ja autoihin.

Eurooppa on päättänyt olla jäämättä jälkeen tämän kilpailun kiihtyessä. 

Aiemmin tänä vuonna Euroopan komissio julkisti suunnitelman investoida 43 miljardia euroa houkutellakseen maailman suurimmat siruvalmistajat perustamaan tehtaita blokkiin. Intel, yhdysvaltalainen sirujätti, on luvannut 33 miljardin euron alkuinvestoinnin blokkiin, josta 17 miljardia euroa mega-sivustoon Saksaan. Myös eurooppalaiset siruvalmistajat, kuten STMicroelectronics ja Infineon, laajentavat toimipisteitään Euroopassa. EU yrittää myös houkutella TSMC:tä, maailman suurinta sopimussiruvalmistajaa, aloittamaan laajamittaisen toiminnan blokissa.

Bryssel toivoo investointien kaksinkertaistavan EU:n osuuden maailmanlaajuisista puolijohdemarkkinoista nykyisestä alle 10 prosentista 20 prosenttiin vuoteen 2030 mennessä. Markkinaosuutta tärkeämpää on kuitenkin vähentää EU:n riippuvuutta Aasian tuottajista, kuten TSMC:stä ja Samsungista. aika, jolloin idän ja lännen väliset jännitteet voivat muodostaa mahdollisen uhan toimituksille.

Vuosina 81–2021 rakennetaan vähintään 2025 uutta sirulaitosta; Yhdysvaltalaisen puolijohdeteollisuusorganisaation SEMI:n tuoreimpien syyskuun tietojen mukaan 10 rakennetaan Eurooppaan, kun niitä on 14 Yhdysvalloissa ja 21 Taiwanissa.

Yhdessä maanosan vankan kemikaalien ja materiaalien perustan kanssa Carl Zeiss SMT:n ja ASML:n kaltaiset yritykset ja niiden toimitusketjut ovat keskeisiä Euroopan pyrkimyksille tulla yhdeksi maailman tärkeimmistä huippuluokan sirujen toimittajista.

Mutta Euroopan puolijohdetyöntössä on edelleen merkittäviä aukkoja. Tarvittavat pääomamäärät ovat valtavia. Ja yritykset, jotka haluavat toimittaa haketehtaille, varoittavat, että ammattitaitoisia työntekijöitä ei ole tarpeeksi pitämään tehtaitaan huminaa.

ASML:n henkilökunta työskentelee puolijohdelitografiatyökalun kokoonpanossa
ASML:n henkilökunta auttaa puolijohdelitografiatyökalun kokoamisessa. Hollantilaisella yrityksellä on monopoli edistyneille siruille tarvittavien äärimmäisten ultraviolettilitografiakoneiden tuotannossa © Bart van Overbeeke/ASML/Reuters

"On kysymysmerkki siitä, pystyisimmekö saavuttamaan 20 prosentin markkinaosuuden vuoteen 2030 mennessä, mutta paine kasvaa, koska tekemättä jättäminen pahentaa tilannetta entisestään", sanoo alankomaalaisen NXP Semiconductorin teknologiajohtaja Lars Reger. .

"Kyse on osuvuudesta", sanoi Wennink ASML:stä. "Sinun on pysyttävä relevanttina geopoliittisessa kontekstissa." 

Onko siinä järkeä?

Euroopan kunnianhimoinen suunnitelma mikrosiruista, joka rakentuu European Chips Actin ympärille, ei ole saanut yleistä hyväksyntää.

Jotkut kriitikot, mukaan lukien alan johtajat, ovat ehdottaneet, että Eurooppa tuhlaa veronmaksajien rahoja. Heidän mielestään paljon parempi olisi käyttää rahat Euroopan oman teollisuuden – kuten autoteollisuuden ja teollisuuden sovellusten – kuluttaman kypsän siruteknologian kapasiteetin lisäämiseen sen sijaan, että joutuisivat uusimpien sirujen kehittämiseen liittyvistä valtavista kustannuksista. Euroopan matkapuhelinteollisuuden taantuminen oli jättänyt maanosan ilman ilmeisiä asiakkaita edistyneille siruille.

Kun yhä monimutkaisempien sirujen tuotannon kustannukset ovat nousseet, "harvemmat yritykset pystyivät pysymään perässä", sanoo yksi siruyhtiön johtaja. "Monet niistä, jotka putosivat kilpailusta, olivat Euroopassa."

Tämä on jättänyt Euroopan toimitusketjun ilman joitain edistyneen puolijohteiden valmistuksen edellyttämiä avainvalmiuksia.

Yang Wang, Lontoon konsulttiyrityksen Counterpoint Researchin vanhempi analyytikko, huomauttaa, että Euroopassa ei ole sirusuunnittelijoita, jotka työskentelevät tekniikan 7 nanometrin ja alle solmujen versioissa.

"Yksikään maailman 10 suurimmasta piirisuunnittelijasta ei toimi Euroopassa, kun taas Yhdysvallat on maailman johtava puolijohdesuunnittelussa", hän sanoo.

EU:lla on olemassa olemassa olevia puolijohdeketjujen klustereita, kuten Leuven Belgiassa, Dresden Saksassa ja Grenoble Ranskassa, mutta Euroopan on lisättävä sirusuunnitteluvalmiuksiaan ja investoitava ekosysteemiin edistyneen sirunvalmistuksen vuoksi sekä investoitava siruihin. valmistaa itse, alan asiantuntijat sanovat.

Rahoitus on myös ratkaiseva tekijä. Mitä edistyneempää sirua valmistetaan, sitä pääomavaltaisempi prosessi on. Esimerkiksi TSMC:n investoinnit vuonna 2022 ovat 36 miljardia dollaria, ja tässä kuussa yhtiö ilmoitti aikovansa kolminkertaistaa sijoituksensa Arizonaan 12 miljardista dollarista 40 miljardiin dollariin tulevina vuosina, missä se tuo myös kehittyneemmän 3 nanometrin teknologian vuoteen 2026 mennessä.

Yhdysvallat hyväksyi tänä vuonna oman Chips and Science Act -lain, 52.7 miljardin dollarin kannustin- ja verohelpotuksen.

Toimialan johtajat sanovat, että yhtä monimutkaisen toimitusketjun rakentaminen kuin edistyneimmässä siruteknologiassa vaaditaan, kestää vuosia – ja vaatii vielä enemmän veronmaksajien tukea. Sellaiset maat kuin Kiina, Taiwan ja Etelä-Korea ovat investoineet miljardeja vuosikymmenten aikana tukeakseen siruvalmistajiaan.

"European Chips Act on loistava työkalu, koska se asettaa meidät samalle tasolle kannustimissa maailmanlaajuisesti", sanoo Jean-Marc Chery, STMicroelectronicsin toimitusjohtaja. Genevessä toimiva yritys, joka toimittaa siruja auto- ja teollisuusmarkkinoille pääasiassa kypsät tekniikat. "Mutta jos meidän on rakennettava [edistynyttä tekniikkaa] ja valtavia tehtäviä . . . silloin se ei ole kovin kilpailukykyistä." 

Mutta Eurooppa ei aloita tyhjästä.

EU:n ote kehittyneisiin sirulaitteisiin on yksi tärkeä etu. ASML:n EUV-koneiden avulla maailman suurimmat siruvalmistajat, kuten TSMC, Samsung ja Intel, pystyvät haastamaan fysiikan rajat ja pakata yhä enemmän prosessointitransistoreita yhä pienempiin siruihin. Nykyään massatuotannon kärjessä on 3 nm - viittaus kunkin sirulla olevan transistorin kokoon - mutta tekniikka vie tämän 2 nm:iin ja sitä pienempään.

"Ilman EUV:itä et pystyisi saavuttamaan näitä suuria transistoreiden tiheyksiä sirussa", sanoo Thomas Stammler, Zeissin teknologiajohtaja. ”Koska olemme ainoa EUV:n toimittaja, pidämme tätä myös velvollisuutena laajentaa ja tukea haketeollisuutta. . . ja työskentelemme jo seuraavan sukupolven EUV:n parissa." 

ASML:n ja Zeissin, joista ASML omistaa 25 prosenttia, lisäksi saksalainen Trumpf on EUV-koneiden käyttämien lasereiden maailmanjohtaja. 220,000 40 asteessa Trumpfin lasereiden tuottama plasma, jota käytetään tuottamaan EUV-valoa, on lähes XNUMX kertaa kuumempi kuin Auringon pinta.

Tällaisen edistyneen tekniikan ansiosta EUV:t voivat auttaa Applen kaltaisia ​​yrityksiä puristamaan jopa 16 miljardia transistoria MacBookin keskusyksikköön verrattuna 1,000-luvun elektroniikkalaitteiden 1970 XNUMX transistoria. 

Euroopalla on myös vahva etu kyvyssään tuottaa pitkälle räätälöityjä, monimutkaisia ​​materiaaleja ja kemikaaleja, joita käytetään edistyneessä lastunvalmistuksessa. Nämä tulevat pääasiassa muutamilta eurooppalaisilta yrityksiltä, ​​kuten Merck, BASF ja Solvay, sekä japanilaisilta yrityksiltä, ​​kuten JSR ja Shin-Etsu Chemical.

Sillä on myös yksi maailman johtavista tutkimuskeskuksista IMEC:ssä, Brysselin ulkopuolella sijaitsevassa nanoteknologian tutkimuskeskuksessa, jota edistyneimmät siruvalmistajat käyttävät prototyyppien rakentamiseen. Muita maailmankuuluja tutkimuskeskuksia ovat Saksan Fraunhofer-instituutit ja Ranskan CEA-Leti.

Mutta haasteita on silti. Muut maat investoivat paljon enemmän kuin Euroopassa oman sirunvalmistuskyvyn rakentamiseen, ja ekosysteemit alkavat jo kehittyä uusien laitosten ympärille.

Euroopassa kriittisten kemikaalien ja materiaalien toimittajat ovat investoineet hitaammin kuin Yhdysvalloissa ja Taiwanissa. Jotkut alan edustajat väittävät tämän johtuvan siitä, että European Chips Act ei kata riittävästi investointeja sirunvalmistuksen lisäksi tai siitä, että eurooppalaiset ympäristömääräykset vaikeuttavat kemiallisten laitosten laajentamista. Ja tietysti Euroopan kaasukriisi on nostanut jo ennestään korkeita energian hintoja ja pakottanut blokin energiaintensiivisen kemianteollisuuden sulkemaan tai keskeyttämään joidenkin tuotteiden tuotannon. Laajentuminen Euroopassa juuri nyt ei ole houkuttelevaa ilman vahvoja kannustimia, sanovat alan johtajat.

Kaavio uusien sirulaitosten määrästä vuosina 2021–2025 maailmanlaajuisesti alueittain, mikä osoittaa, että Eurooppa on jäljessä maailmanlaajuisessa kilpailussa puolijohdekapasiteetin lisäämisestä. Kiinassa on 22, Taiwanissa 21, Yhdysvalloissa 14, mutta Euroopassa ja Lähi-idässä vain 10

”Uusien puolijohdetehtaiden kemikaalien toimittaminen edellyttää investointeja erityisiin resursseihin. Siksi valtion tuen puute olisi ehdottomasti este kemikaalien toimittajille”, Solvayn toimitusjohtaja Rodrigo Elizondo sanoo Financial Timesille. "Mielestämme vahvan alueellisen kemikaalitarjonnan puuttuminen vaarantaa ehdottomasti eurooppalaisten puolijohdetehtaiden toiminnan."

BASF ja Solvay odottavat kemikaali- ja materiaalipulaa tulevina vuosina uusien sirukapasiteetin noustessa, ellei näille alueille tehdä investointeja.

"Kaikki puhuvat puolijohteiden valmistuksesta, mutta näiden mikrosirujen valmistukseen tarvittaviin kemikaaleihin ei kiinnitetä tarpeeksi huomiota", sanoo Lothar Laupichler, BASF:n elektroniikkamateriaalien varatoimitusjohtaja. "Tuntuu melkein siltä, ​​että kemikaaleja katsotaan kuin vettä tai sähköä, avaat hanan ja se tulee heti ulos, mutta tämä on väärinkäsitys." 

Kai Beckmann, Merckin johtokunnan jäsen ja sen elektroniikkadivisioonan toimitusjohtaja, lisää: "Meidän on tarkasteltava tätä asiaa yhdessä Euroopan unionin kanssa, koska puhumme erittäin pitkälle erikoistuneesta materiaalista, joka ei välttämättä ole hyvin taltioitua Eurooppalaisia ​​tavoitteita."

Henkilökunnan löytäminen

Euroopalla on edessään vielä yksi perusongelma: riittävän ammattitaitoisten työntekijöiden löytäminen. Euroopan työviranomaisen tekemä tutkimus EU:n suurimmista työvoimapulasta osoitti, että insinöörit ja teknikot, jotka ovat siruteollisuuden tukipylväitä, olivat neljän suurimman osaajavajeen joukossa 10 maassa.

Yritykset, kuten saksalainen Infineon, brittiläinen Edwards Vacuum, joka on ASML:n keskeinen komponenttien ja alijärjestelmien toimittaja, ja AT&S Itävallassa, yksi johtavista huippuluokan sirualustojen toimittajista, joihin puolijohteet asennetaan, ovat kaikki varoittaneet, että ulkomaiset kyvyt ovat ratkaisevan tärkeitä Euroopan puolijohdeteollisuuden kehittämiselle ja kestävyydelle.

AT&S:n toimitusjohtaja Andreas Gerstenmayer sanoo, että hänen yrityksellään on vaikeuksia löytää 800 ammattitaitoista työntekijää, joita se tarvitsee uuteen tutkimus- ja kehityskeskukseensa Itävallassa. "Meidän täytyy tavoittaa maailmanlaajuisesti palkataksemme osaajia, koska kokemusta ja [sirualustojen] tekniikkaa ei ole vielä saatavilla täällä."

Carl Zeiss SMT äärimmäinen ultraviolettilitografiavalaistusjärjestelmä
Carl Zeiss SMT äärimmäinen ultraviolettilitografiavalaistusjärjestelmä. Zeissin kaltaiset yritykset ovat ratkaisevan tärkeitä Euroopan tavoitteelle tulla huippuluokan sirujen avaintoimittajaksi © Manfred Stich/Zeiss

Martin Stöckl, Infineonin henkilöstöjohtaja, sanoo, että koko toimitusketju jahtaa samoja kykyjä, mikä pahentaa tilannetta. "Henkilöpula on vakava Euroopassa", hän sanoo. "Jos teet nopean laskelman, me [Infineon] rakennamme uuden fabin, STMicroelectronics ja Intel myös laajentuvat. Me [yritykset] tarvitsemme ainakin tuhansia lisää insinöörejä ja teknikoita tulevina vuosina. 

Taistelu ei kuitenkaan ole kaukana menetetty, sanovat alan johtajat.

Kaikista haasteista huolimatta alan johtajat suhtautuvat myönteisesti Euroopan näkymiin tällä kriittisellä alalla. ASML:n, Zeissin ja Trumpfin kaltaisten yritysten omistaminen ei ole huono paikka aloittaa.

"Eurooppa säilytti todellisen vahvuutensa vuosien ajan puolijohdevalmistuslaitteiden alalla", sanoo Intelin vanhempi johtaja. ”Se on todella antanut sille mahdollisuuden palata markkinoille, mitä sillä muuten ei olisi ollut. Ilman niitä rannanpäitä Euroopan olisi ollut hyvin, hyvin vaikeaa palata takaisin.

Peggy Hollingerin ja Joe Millerin lisäraportointi

Kartografia ja tietojen visualisointi Liz Faunce ja Alan Smith

#mailpoet_form_1 .mailpoet_form { }
#mailrunoet_form_1 form { margin-bottom: 0; }
#mailrunoet_form_1 .mailrunoet_column_with_background { täyte: 0px; }
#mailpoet_form_1 .wp-block-column:first-child, #mailpoet_form_1 .mailpoet_form_column:first-child { täyte: 0 20px; }
#mailpoet_form_1 .mailpoet_form_column:not(:first-child) { margin-left: 0; }
#mailpoet_form_1 h2.mailpoet-heading { margin: 0 0 12px 0; }
#mailpoet_form_1 .mailpoet_paragraph { rivinkorkeus: 20px; marginaali-ala: 20px; }
#mailpoet_form_1 .mailpoet_segment_label, #mailpoet_form_1 .mailpoet_text_label, #mailpoet_form_1 .mailpoet_textarea_label, #mailpoet_form_1 .mailpoet_select_label, #mailpoet_form_1 .mailpoet_radio_label, #mailpoet_form_1 .mailpoet_checkbox_label, #mailpoet_form_1 .mailpoet_list_label, #mailpoet_form_1 .mailpoet_date_label { display: block; fontin paino: normaali; }
#mailpoet_form_1 .mailpoet_text, #mailpoet_form_1 .mailpoet_textarea, #mailpoet_form_1 .mailpoet_select, #mailpoet_form_1 .mailpoet_date_month, #mailpoet_form_1 .mailpoet_date_day, #mailpoet_form_1_mailpoet_form:_1_mailpoet_year_XNUMX }
#mailpoet_form_1 .mailpoet_text, #mailpoet_form_1 .mailpoet_textarea { leveys: 200px; }
#mailpoet_form_1 .mailpoet_checkbox { }
#mailpoet_form_1 .mailpoet_submit { }
#mailpoet_form_1 .mailpoet_divider { }
#mailpoet_form_1 .mailpoet_message { }
#mailpoet_form_1 .mailpoet_form_loading { leveys: 30px; tekstin tasaus: keskellä; rivin korkeus: normaali; }
#mailpoet_form_1 .mailpoet_form_loading > span { leveys: 5px; korkeus: 5px; taustaväri: #5b5b5b; }#mailpoet_form_1{border-säde: 3px;tausta: #27282e;väri: #ffffff;text-align: left;}#mailpoet_form_1 form.mailpoet_form {täyte: 0px;}#mailpoet_form_1{leveys: 100%;}#mailpoet mailpoet_message {marginaali: 1; täyte: 0 0px;}
#mailpoet_form_1 .mailpoet_validate_success {väri: #00d084}
#mailpoet_form_1 input.persilja-menestys {väri: #00d084}
#mailpoet_form_1 select.persilja-menestys {color: #00d084}
#mailpoet_form_1 textarea.persilja-menestys {väri: #00d084}

#mailpoet_form_1 .mailpoet_validate_error {color: #cf2e2e}
#mailpoet_form_1 input.persley-error {color: #cf2e2e}
#mailpoet_form_1 select.persley-error {color: #cf2e2e}
#mailpoet_form_1 textarea.textarea.parsley-error {color: #cf2e2e}
#mailpoet_form_1 .persley-errors-list {color: #cf2e2e}
#mailpoet_form_1 .pakollinen persilja {väri: #cf2e2e}
#mailpoet_form_1 .persley-custom-error-message {color: #cf2e2e}
#mailpoet_form_1 .mailpoet_paragraph.last {margin-bottom: 0} @media (max-leveys: 500px) {#mailpoet_form_1 {background: #27282e;}} @media (vähimmäisleveys: 500px) {#mailpoet_form_1 .last para. last-child {margin-bottom: 0}} @media (enintään leveys: 500px) {#mailpoet_form_1 .mailpoet_form_column:last-child .mailpoet_paragraph:last-child {margin-bottom: 0}}

Maailmanlaajuinen mikrosirukilpailu: Euroopan pyrkimys kuroa kiinni. Julkaistu uudelleen lähteestä https://www.ft.com/content/b31e27fd-0781-4ffd-bb69-9af985abff41 https://www.ft.com/companies/technology?format =rss

<!-

->

Aikaleima:

Lisää aiheesta Blockchain-konsultit